verilog代码编译报错 Can't synthesize current design -- Top partition does not contain any logic?

我要回帖

 

随机推荐