如何制作bootfont.bin.bin zedboard

&>&&>&&>&&>&zedboard 初试 GPIO BOOT.BIN
zedboard 初试 GPIO BOOT.BIN
上传大小:73KB
基于陆佳华的那本嵌入式软硬件协同设计,第一张出现的boot.bin是错误的,本资源是正确的,我亲自试过,希望对大家有用
综合评分:5(1位用户评分)
所需积分:0
下载次数:20
审核通过送C币
创建者:yongyong521
创建者:duanzhang512
创建者:qq_
课程推荐相关知识库
上传者其他资源上传者专辑
行业热门标签
VIP会员动态
android服务器底层网络模块的设计方法
所需积分:0
剩余积分:720
您当前C币:0
可兑换下载积分:0
兑换下载分:
兑换失败,您当前C币不够,请先充值C币
消耗C币:0
你当前的下载分为234。
zedboard 初试 GPIO BOOT.BIN
会员到期时间:
剩余下载次数:
你还不是VIP会员
开通VIP会员权限,免积分下载
你下载资源过于频繁,请输入验证码
你下载资源过于频繁,请输入验证码
您因违反CSDN下载频道规则而被锁定帐户,如有疑问,请联络:!
若举报审核通过,可奖励20下载分
被举报人:
yuansanwan123
举报的资源分:
请选择类型
资源无法下载
资源无法使用
标题与实际内容不符
含有危害国家安全内容
含有反动色情等内容
含广告内容
版权问题,侵犯个人或公司的版权
*详细原因:在上一篇博文中,我已经介绍了建立AXI总线自定义外设的全过程,包括Verilog逻辑部分,和裸机软件部分。裸机控制外设是非常简单的,与普通的单片机并无二异,但仅仅有裸奔代码还远远无法发挥Cortex-A9硬核的作用,毕竟Zynq芯片集成了一颗最高能跑到1GHz的双核CPU(ZedBoard上的XC7Z020只能到800MHz)。并且ZedBoard上配备了Gigabit Ethernet、HDMI和USB OTG接口,不运行操作系统岂不浪费。
有朋友想要在ZedBoard上做WinCE,但这方面的资料极为稀缺,而WinCE又是体积庞大、版权成本很高的操作系统,于是开源有小巧的嵌入式Linux就成了首选。之前兔子为了做出图形界面,还致力于移植桌面型的Linaro Ubuntu系统,不过ADI给出的参考例子未经优化奇卡无比,Xillinux正式版迟迟未现又只支持色彩度很低的简易VGA接口(ZedBoard上的VGA甚至到不了16位色),于是兔子不得不放弃之前的工作,重新启用ZedBoard出厂默认的轻量级Linux上。这个系统没有图形桌面,但已包含了网卡、HDMI驱动和其他基本功能,足够使用了。
想要在Linux下控制外设,最重要的一环就是驱动了,但在动手写驱动之前,先要做些准备工作。为了减少工作量,我们就直接修改ZedBoard的出厂Demo,加入之前完成的my_gpio外设,并通过U-Boot实现Bootloader功能。这个Demo的源工程可以在Digilent网站的ZedBoard页面找到:
点击下面的Download,可以下载到ZedBoard_OOB_Design.zip文件,这个压缩包里包含了XPS工程、U-Boot文件、Linux内核配置文件、DeviceTree源文件、rootfs等。README.txt中有相近的操作说明,建议通读一遍。
ZedBoard上默认的XPS系统工程位于hw\xps_proj文件夹下,双击system.xmp打开工程,按照之前所说的方法,将my_gpio外设IP核导入到工程中。
之后,查看一下XPS是否为我们的外设分配了地址空间,如果没有,就需要手动设置一个地址,这里我们设成0x75C80000,空间大小为AXI设备统一的64K。
按照ZedBoard学习手记(二)中的方法设置总线连接,结果如下图:
修改xps_proj\data\system.ucf文件,为my_gpio外设分配外部引脚(ucf约束文件中的引脚名称和Ports中的一定要相同,已经有不少网友在这里出过问题了)。另外我们分配的引脚占用了原来ARM的GPIO 引脚位置,应在XPS的Port列表里将processing_system7_0_GPIO数量设为[0:6]或干禁用,以除后患。
完成后先单击Generate BitStream生成配置数据,再点击Export Design,选Export & Launch SDK将硬件信息导出到SDK中。
在SDK里,新建一个C工程,不同于裸机的HelloWorld,这次要建立的是Bootloader,因此选择FSBL工程,即First Stage Bootloader,用实现U-Boot之前的初始化和启动工作。其他选项默认即可。
编译一下工程,完成后SDK会生成FSBL的elf文件,另外加上从XPS导出的System.bit,以及我们在第一篇文章中编译生成的u-boot.elf,就可以生成用来实现SD卡启动系统的完整Bootloader文件了。
在ZedBoard_OOB_Design中包含,不过这个兔子没试过,通过Xilinx U-Boot编译生成u-boot.elf的方法请见:
集齐这三个文件之后,点击Xilinx Tools&Create Boot Image,添加到列表中,选择一个输出路径,就可以创建Bootloader了。
SDK会在输出路劲生成u-boot.bin文件,将其改为BOOT.bin,拷贝到SD卡中,为ZedBoard板配置合适的跳线。这时再打开ZedBoard电源,Bootloader会初始化PS,用BitStream配置PL(包含了我们创建的my_gpio外设),并将操作权移交给U-Boot。U-Boot会自动加载Device Tree、Linux内核镜像和RootFS,最终启动Linux。
ZedBoard出场时SD中还带有zImage、devicetree和rootfs文件,这些文件都可以采用原有的,直接用Xilinx交叉编译工具编译写好的C语言驱动就可以在这个系统上运行并控制外设了。
当然,如果你想自己编译内核,或者不想采用静态物理地址的方式调用外设,就需要再往下进行一步,注意这一步对于Linux下控制AXI总线自定义外设并非必要。
为了给有兴趣的朋友继续深入研究做个铺垫,这里兔子就讲一下编译ZedBoard上运行的Linux内核和设备树吧。当然这个方法也详细记录在ZedBoard_OOB_Design的README文件中。
在PC的Linux环境下(兔子这里是Ubuntu)通过Git指令下载Digilent Linux内核源码:
git clone git:///Digilent/linux-3.3-digilent.git
切换到ZedBoard Branch:
cd linux-3.3-digilent
git -b zedboard_oob v3.3.0-digilent-12.07-zed-beta
将中的.config文件拷贝到源码目录下,然后编译内核(&pkg&指的是ZedBoard_OOB_Design所在目录):
cp &pkg&/linux/.config ./
生成的内核镜像zImage 位于/arch/arm/boot/文件夹下。
之后修改devicetree_ramdisk.dts文件,加入my_gpio外设信息。
/******* LED & Swtich Controller ******/
my_gpio@75c80000 {
&&&& compatible = &xlnx,my_gpio-1.00.a&;
&&&& reg = &0x75c00&;
&&&& xlnx,dphase-timeout = &0x8&;
&&&& xlnx,family = &virtex6&;
&&&& xlnx,c_num_reg = &0x1&;
&&&& xlnx,c_num_mem = &0x1&;
&&&& xlnx,s-axi-min-size = &0x1ff&;
&&&& xlnx,c_slv_awidth = &0x20&;
&&&& xlnx,c_slv_dwidth = &0x20&;
&&&& xlnx,use-wstrb = &0x0&;
通过下面指令生成设备树,同样Copy到SD卡中:
./scripts/dtc/dtc -O dtb &I dts &o ./devicetree_ramdisk.dtb \ &&&&&&&&&&pkg&/linux/devicetree_ramdisk.dts
这个设备树文件有网友说是将驱动加入到内核中用的,但兔子以为不然。如果不想通过静态设备物理地址(上面的0x75c80000)来加载驱动,就可以根据这个设备树信息(具体是通过xlnx,my_gpio-1.00.a字串)来动态识别设备。
具体的方法有兴趣的童鞋可以一试,下次我们就要开始正式编写my_gpio外设的Linux驱动了。窗外还在下着雨,今天哪儿也去不了了,眼看周日和同事去箭扣长城的计划也要泡汤&&话说这两天貌似不止北京在降温,大家可要注意保暖啊:D。
欢迎大家在美信DIY大赛专区论坛讨论问题:
更多ZedBoard资料请见美信社区:
旗下网站:
与非门科技(北京)有限公司 All Rights Reserved.
京ICP证:070212号
北京市公安局备案编号: 京ICP备:号2097人阅读
VHDL(12)
FPGA(12)
我们前面已经准备好了、和,现在我们使用这三个文件创建一个启动镜像。Zynq启动过程&Boot.bin文件格式&&我们转到SDK下,点击菜单&&首先设置BIF文件路径。文件用于指定当前制作过程中用到的三个文件的路径,相当于一个项目配置文件。&设置好后我们先按顺序添加三个文件,显示文件&FSBL的在弹出对话框中的是,而和都是。此处一定要注意,否则会出现问题,比如我们把当成类型,就会出现以下错误:&&添加正确的话,修改输出路径中文件名为(也可以不修改)&点击Create&Image创建成功的话会在输出路径生成相应文件。
&&相关文章推荐
* 以上用户言论只代表其个人观点,不代表CSDN网站的观点或立场
访问:651085次
积分:9127
积分:9127
排名:第1872名
原创:204篇
转载:74篇
译文:20篇
评论:426条
duancongxd(为避免骚扰,验证注明CSDN)
(3)(2)(8)(2)(11)(7)(14)(3)(4)(3)(2)(1)(1)(1)(3)(3)(2)(1)(2)(2)(3)(1)(1)(1)(2)(13)(2)(7)(5)(11)(16)(8)(7)(13)(17)(6)(39)(12)(23)(25)(1)(1)(1)(1)(3)(2)(1)ZedBoard学习手记(一) First Step——建立Xilinx交叉编译环境 - XUP 板卡学习区 - OpenHW技术社区
后使用快捷导航没有帐号?
扫一扫,访问微社区
查看: 6585|回复: 1
ZedBoard学习手记(一) First Step——建立Xilinx交叉编译环境
主题帖子积分
新手上路, 积分 1, 距离下一级还需 49 积分
新手上路, 积分 1, 距离下一级还需 49 积分
经过这段时间借由美信DIY大赛对ZedBoard的学习,略有所得,对这个新平台也有了一定的理解。在此称之为手记,算是边学边记了。既然还在学习,就自然会有一些谬误或不足,还望童鞋们指正啊。
要开发ZedBoard最重要的是Xilinx ISE工具集,在这个IDE里能够完成大部分的逻辑及底层软件开发工作。如果做裸奔开发,一个IDE足矣,但是若不想一辈子不穿衣服,需要涉及Linux下的软件设计,就得在Linux中进行编译。Xilinx的IDE里貌似也集成了开发Linux软件的相关环境,但兔子还不太会用,而且Linux下需要进行编译的东西太多了,很多地方SDK也无能为力,因此还是走传统路线——在Linux下建立Xilinx编译环境。
兔子的操作系统是Win7 Ultimate,多系统用起来着实麻烦,因此安装了VMware虚拟机,并在虚拟机下安装了Ubuntu,版本为11.10 64-bit。建立编译环境是老生常谈的话题,网上讲的很多,但为了保持手记完整性,这里请再耐心的来过一遍吧。
在Xilinx Wiki网站(
)下载Sourcery CodeBench,由于这个环境是为32-bit系统设计的,64-bit系统的用户需要先安装部分32-bit库,在Ubuntu终端中进入root权限(兔子喜欢一进终端就sudo su,省得后面麻烦),输入下面命令:
apt-get install ia32-libs ia32-libs-gtk
Mentor网站上的一篇文章说,还需要32-bit版本的xulrunner,我这里没装过,但也能用,不放心的可以按照这里的步骤安装:
之后在终端中输入:
dpkg-reconfigure dash
在弹出的对话框中选否,将dash改为bash,这样才能顺利执行Sourcery CodeBench安装程序。下载好的安装文件为:xilinx--arm-xilinx-linux-gnueabi.bin,双击打开,兔子选择默认路径(Do not modify PATH),装好后的执行文件路径为/root/CodeSourcery/Sourcery_CodeBench_Lite_for_Xilinx_GNU_Linux/bin/,以后每次编译软件的时候都需要通过export指令将编译环境的路径加入PATH环境变量,后面编译一些内容的时候还会用需要修改ARCH,其指令如下:
export ARCH=arm
export CROSS_COMPILE=arm-xilinx-linux-gnueabi-
export PATH=/root/CodeSourcery/Sourcery_CodeBench_Lite_for_Xilinx_GNU_Linux/bin/PATH
如果不想每次都输入,就在通过gedit /etc/bash.bashrc指令打开bash.bashrc文件,在里面加入上述指令,这样开机就会自动执行了,同样可以运行source /etc/bash.bashrc指令使设置立即生效,通过echo $PATH指令可以看到我们刚才设置的路径。
下面让我们来编译点东西试试,首先是HelloWorld。找个地方新建一个hello.c文件,用gedit编辑,代码如下:
int main(void)
printf(&Hello ZedBoard!\n&);
在C文件所在目录中输入下面指令进行:
arm-xilinx-linux-gnueabi-gcc hello.c
在兔子电脑上生成了a.out文件,可能是以前设置的原因,不确定在你那里会叫什么呵呵。如图:
_8f2b54fa.jpg (16.14 KB, 下载次数: 0)
13:10 上传
将生成的文件拷贝到U盘,连接到ZedBoard的USB OTG上,先挂载U盘,然后运行软件测试一下:
mount /dev/sda1 /mnt/
/mnt/a.out
至此交叉环境就搞定了,效果如下:
_8e4618f6.jpg (20.01 KB, 下载次数: 1)
13:11 上传
下面再编译个U-Boot试试,Xilinx在Wiki上也做了介绍:
首先为自己选一个用于开发的目录,兔子的目录位于/arm/zed,这个依喜好自定啦。通过Git下载Xilinx U-Boot代码:
git clone git:///u-boot-xarm.git
cd u-boot-xarm
用我们之前安装的交叉编译环境进行编译,由于我们已经设置了环境变量,这里直接执行编译即可,先进行配置:
make zynq_zed_config
配置完成后编译:
编译结束后,会生成一个u-boot文件(没有后缀名),这是一个elf文件,将其另存为u-boot.elf。这个文件很重要,在我们以后生成Boot Loader时会用到,用到时再解释吧。
之后我们就将开始设计自己的自定义AXI总线外设了,下次会为大家呈现一个通过GPIO读取Switch值并控制LED的IP,最终目的是在Linux下通过自己的软件控制这个硬件IP。
主题帖子积分
高级会员, 积分 566, 距离下一级还需 434 积分
高级会员, 积分 566, 距离下一级还需 434 积分
好东西,顶起来
联系电话: 3-8069
Powered byWith Instructables you can share what you make with the world, and tap into an ever-growing community of creative experts.Intro: How to Boot Linux on a Zedboard Without U-BootUsually, the startup sequence for Linux on a Zedboard is: The First Stage Boot Loader (FSBL) in the Zynq ROM reads the boot.bin file from the boot media, ...
Step 1: What You Will NeedA Zedboard An SD Card A Linux machine, Linux VM, or Mac A git client, and some knowledge of how to use it. Android NDK version r9d or ...
Step 2: Zynq-BootDownloading Zynq-BootFirst, let's download the code for zynq-boot, which contains what we need to set up a Zedboard to boot Androidgit clone git:///cambridgehackers/zynq-bootWhat a boot loader needs to ...
Step 3: Making Bootbin.zedboardWe could make the SD card image all in one step, but if you're reading this, you are probably interested in some of the details.First, make sure the ...
Step 4: Making Sdcard.zedboardWe need more than boot.bin in order to boot Android on the zedboard.This step creates the other files needed to boot Android on the zedboard and puts them ...
Step 5: Copying the Files to the SD CardThe files are in directory sdcard-zedboard:jamey@sj6:/scratch/jamey/zynq-boot$ ls -l sdcard-zedboard total 269288 drwxrwxr-x 2 jamey users 4096 Oct 15 12:13 3.9.0-0edac -rw-rw-r-- 1 jamey users 2664148 Oct 15 12:13 ...
Step 6: Booting the ZedboardInsert the SD Card into the Zedboard and turn it on. If you want to see the console output, you will need a terminal emulator connected to the ...Usually, the startup sequence for Linux on a Zedboard is:The First Stage Boot Loader (FSBL) in the Zynq ROM reads the boot.bin file from the boot media, such as the SD Card
It passes control to the FSBL in the boot.bin file
Which then passes control to the
boot loader, which may read additional files from the boot media
U-Boot sets the architecture register (r2) and then jumps to zImage
zImage decompresses the kernel Image and then jumps to the Image's start address
and then Linux bootsU-Boot adds two capabilities to the boot process that the Xilinx FSBL does not have. (1) It reads files used at boot time from the SD Card instead of requiring them to be included in the boot.bin, and (2) it has a command line, in case you want to modify boot parameters at boot time.If you do not need either of those capabilities, then booting Linux directly from FSBL saves some time during boot and reduces the number of chip/board specific files that need to be maintained.In our configurations, we have only three chip/board specific files:zynq_fsbl.elf
devicetree.dtb
the bitfile to program the FPGAWe only include the first two in the boot.bin file and load the third from the filesystem after Linux boots.In this Instructable, we show how to boot Linux on a Zedboard directly from the Xilinx FSBL.Step 1: What You Will NeedA An SD Card
A Linux machine, Linux VM, or Mac
A git client, and some knowledge of how to use it.
version r9d or newerStep 2: Zynq-BootDownloading Zynq-BootFirst, let's download the code for zynq-boot, which contains what we need to set up a Zedboard to boot Androidgit clone git:///cambridgehackers/zynq-bootWhat a boot loader needs to do to boot LinuxA boot loader needs to do just a few things before it can boot ARM Linux.Configure the DRAM controller
Load the zImage and any initial ramdisk image into DRAM
Load the machine type value into r2
Jump to the zImageBy packing the zImage and initial ramdisk image into a boot.bin file, Xilinx's fsbl takes care of the first two of these. So all we need to do is the last 2.Except the Xilinx kernel seems to require some other configuration registers to be initialized. Over time, perhaps this configuration wil be moved to the kernel to simplify this process.How Zynq-Boot prepares the way for LinuxIf we take a look at the contents of Zynq-Boot, one of the files of interest is clearreg.S. This file is compiled and linked with zImage so that this code is the entry point.It starts with some code to jump past a data table:.arm .data
.global clearreg
r4, data_table
3fThen it contains the data table itself. Each entry is two 32-bit words: a configuration value to write and an address at which to write it. These registers could be configured by the Xilinx FSBL or by the Linux kernel, making this step easier.data_table:
.word SLCR_UNLOCK_MAGIC, XPSS_SYS_CTRL_BASEADDR+0x8//slcr_unlock
/* remap DDR to zero, FILTERSTART */
XPSS_SCU_BASEADDR + 0x40 //filter_start
/* Device config APB, unlock the PCAP */
.word 0x757BDF0D,
XPSS_DEV_CFG_APB_BASEADDR + 0x34 //unlock
.word 0xFFFFFFFF,
XPSS_DEV_CFG_APB_BASEADDR + 0x28 //rom_shadow
/* OCM_CFG, Mask out the ROM, map ram into upper addresses */
.word 0x1F,
XPSS_SYS_CTRL_BASEADDR + 0x910 //ocm_cfg
/* FPGA_RST_CTRL, clear resets on AXI fabric ports */
.word 0x0,
XPSS_SYS_CTRL_BASEADDR + 0x240 //fpga_rst_ctrl
/* TZ_DDR_RAM, Set DDR trust zone non-secure */
.word 0xFFFFFFFF,
XPSS_SYS_CTRL_BASEADDR + 0x430 //trust_zone
/* Set urgent bits with register */
.word 0x0,
XPSS_SYS_CTRL_BASEADDR + 0x61c //ddr_urgent_sel
/* Urgent write, ports S2/S3 */
.word 0xC,
XPSS_SYS_CTRL_BASEADDR + 0x600 //ddr_urgent
.word SLCR_LOCK_MAGIC,XPSS_SYS_CTRL_BASEADDR + 0x4 //slcr_lock
// end of tableAfter the data table is the machine type:machine_type:
.word 0xd32
// XILINX_EP107 (Zynq) = 3378.Then there is the code that configures the processor according to the data table:2: str
r4!, {r0,r1}
2bFinally there is the code that loads the XILINX_EP107 machine type and continues to the zImage entry point:ldr
r1, machine_type
r2,#0x1000000
// set address of devicetree data
// fall through (to start of zImage)After that, Linux boots as usual.Step 3: Making Bootbin.zedboardWe could make the SD card image all in one step, but if you're reading this, you are probably interested in some of the details.First, make sure the Native Development Kit is on your PATH:jamey@sj6:/scratch/jamey/zynq-boot$ PATH=$PATH:/scratch/android-ndk-r9dYou can test this with ndk-which:jamey@sj6:/scratch/jamey/zynq-boot$ ndk-which gcc
/scratch/android-ndk-r9d/toolchains/arm-linux-androideabi-4.6/prebuilt/linux-x86_64/bin/arm-linux-androideabi-gccNext, we will make the boot.bin file for the zedboard:jamey@sj6:/scratch/jamey/zynq-boot$ make bootbin.zedboard
make: Entering directory `/scratch/jamey/zynq-boot'
make[1]: Entering directory `/scratch/jamey/zynq-boot'
making ramdisk
545 blocks
256+0 records in
512+0 records out
making dtb.tmp
local-mac-address = [ 00 E0 0C 00 96 03 ];
compiling device tree
making zcomposite.elf
making real.bootbin
`boot.bin' -& `boot.bin.bak'
`imagefiles/zynq_zedboard_fsbl.elf' -& `zynq_fsbl.elf'
make[1]: Leaving directory `/scratch/jamey/zynq-boot'
make: Leaving directory `/scratch/jamey/zynq-boot'There is some chatter from the different steps. One thing to notice is that it assigns the ethernet MAC address based on $(USER) and $(BOARD). If you're using multiple boards of the same type, you can obtain unique MAC addresses by using alternate definitions of $(USER)jamey@sj6:/scratch/jamey/zynq-boot$ make USER=jamey1 bootbin.zedboard
local-mac-address = [ 00 E0 0C 00 e5 03 ];
...Step 4: Making Sdcard.zedboardWe need more than boot.bin in order to boot Android on the zedboard.This step creates the other files needed to boot Android on the zedboard and puts them in the sdcard-zedboard directory.jamey@sj6:/scratch/jamey/zynq-boot$ make sdcard.zedboard
make[1]: Entering directory `/scratch/jamey/zynq-boot'
downloading /system filesystem image
system.img: OK
`imagefiles/zynqportal.ko' -& `sdcard-zedboard/zynqportal.ko'
`imagefiles/portalmem.ko' -& `sdcard-zedboard/portalmem.ko'
`imagefiles/timelimit' -& `sdcard-zedboard/timelimit'
Files for zedboard SD Card are in /scratch/jamey/zynq-boot/sdcard-zedboard
make[1]: Leaving directory `/scratch/jamey/zynq-boot'Step 5: Copying the Files to the SD CardThe files are in directory sdcard-zedboard:jamey@sj6:/scratch/jamey/zynq-boot$ ls -l sdcard-zedboard
total 269288
drwxrwxr-x 2 jamey users
4096 Oct 15 12:13 3.9.0-0edac
-rw-rw-r-- 1 jamey users
2664148 Oct 15 12:13 boot.bin
-rw-rw-r-- 1 jamey users
14084 Oct 15 12:15 portalmem.ko
-rw-rw-r-- 1 jamey users
Oct 15 12:15 system.img
-rwxrwxr-x 1 jamey users
13704 Oct 15 12:15 timelimit
-rw-rw-r-- 1 jamey users
Oct 15 12:13 userdata.img
-rw-rw-r-- 1 jamey users
15342 Oct 15 12:15 zynqportal.koCopy these to an SD card formatted in the standard way (MS DOS filesystem, one partition).Step 6: Booting the ZedboardInsert the SD Card into the Zedboard and turn it on. If you want to see the console output, you will need a terminal emulator connected to the USB "UART" port. You will need to plug in ethernet so that you can communicate with the zedboard over TCP.Booting Linux on physical CPU 0x0
Linux version 3.9.0-00053-gb605a94-dirty (jamey@sj6) (gcc version 4.3.3 (Sourcery G++ Lite ) ) #2 SMP PREEMPT Tue Aug 5 08:56:30 EDT 2014
CPU: ARMv7 Processor [413fc090] revision 0 (ARMv7), cr=18c5387d
CPU: PIPT / VIPT nonaliasing data cache, VIPT aliasing instruction cache
Machine: Xilinx Zynq Platform, model: Xilinx Zynq ZC702
cma: CMA: reserved 16 MiB at 2e800000
Memory policy: ECC disabled, Data cache writealloc
PERCPU: Embedded 7 pages/cpu @c180f000 s d1
Built 1 zonelists in Zone order, mobility grouping on.
Total pages: 260624
Kernel command line: console=ttyPS0,115200 initrd=0xK noinitrd init=/init root=/dev/ram rw ip=:::::eth0:dhcp earlyprintk
PID hash table entries: 4096 (order: 2, 16384 bytes)
Dentry cache hash table entries: 131072 (order: 7, 524288 bytes)
Inode-cache hash table entries: 65536 (order: 6, 262144 bytes)
__ex_table already sorted, skipping sort
mmc0: new high speed SDHC card at address e624&br&mmcblk0: mmc0:e624 SU04G 3.69 GiB
mmcblk0: p1
Sending DHCP requests ..xemacps e000b000.eth: Set clk to
xemacps e000b000.eth: link up (1000/FULL)
IP-Config: Got DHCP answer from 172.18.0.11, my address is 172.18.0.232
IP-Config: Complete:
device=eth0, hwaddr=00:e0:0c:00:98:09, ipaddr=172.18.0.232, mask=255.255.240.0, gw=172.18.0.1
host=172.18.0.232, , nis-domain=(none)
bootserver=172.18.0.11, rootserver=172.18.0.11, rootpath=
nameserver0=172.17.0.111, nameserver1=172.17.0.101
Freeing init memory: 148K
init (1): /proc/1/oom_adj is deprecated, please use /proc/1/oom_score_adj instead.?!TQufs (mmcblk0p1): Volume was not properly unmounted. Some data may be corrupt. Please run fsck.??EEj2??(loop0): recovery complete??EEj2??(loop0): mounted filesystem with ordered data mode. Opts: (null)??EEj2??(loop1): recovery complete??EEj2??(loop1): mounted filesystem with ordered data mode. Opts: (null)?init: cannot open '/initlogo.rle'???+<é cannot find '/system/bin/dbus-daemon', disabling 'dbus'???+<é cannot find '/system/etc/install-recovery.sh', disabling 'flash_recovery'?warning: `adbd' uses 32-bit capabilities (legacy support in use)
/ $ [6nfs_mgr: Cannot open file /fstab.xilinxzynqplatform
/ $ ifconfig eth0
Link encap:Ethernet
HWaddr 00:E0:0C:00:96:03
inet addr:172.18.0.232
Bcast:172.18.15.255
Mask:255.255.240.0
UP BROADCAST RUNNING MULTICAST
RX packets:121 errors:0 dropped:0 overruns:0 frame:0
TX packets:6 errors:0 dropped:0 overruns:0 carrier:0
collisions:0 txqueuelen:1000
RX bytes: KiB)
TX bytes: KiB)
Interrupt:54 Base address:0xb000About This Instructable 6More by jameyhicks:Add instructable to:Related

我要回帖

更多关于 bootfont.bin 的文章

 

随机推荐